top of page

ALD (PE-ALD) SYSTEM FOR VARIOUS APPLICATIONS

PE-ALD.jpg

Atomic Layer Deposition is an important technique for depositing thin films for a variety of applications. ALD is able to meet the needs for precise thickness control and conformal deposition in high aspect ratio structures to a level that far exceeds other deposition techniques. The nature of the sequential, self-limiting surface reactions in ALD produces a non statistical deposition because the randomness of the precursor flux is not a factor. As a result, ALD films remain extremely  smooth,  continuous,  and  pin-hole  free allowing  for  excellent  film  properties.  ALD processing can also be scaled to very large substrates. 


The NLD series is a stand alone, PC controlled ALD system with LabVIEW software featuring four levels password-controlled  user  authorization. The  system is fully automated and safety-interlocked and offers flexibility to deposit multiple films (ex. Al2O3, AlN, TiN,  ZrO2,  LaO2,  HfO2)  for  Semiconductor, 
Photovoltaic and MEMS applications. It has a 12" aluminum reaction chamber with heated walls and a pneumatically lifted top for easy chamber access and cleaning. The  system  features  an  onboard  gas  pod containing  up  to  seven  heated  50cc  cylinders  for precursors  and  reactants  with  fast-pulse  heated delivery valves using N2 or Ar as a carrier gas. 

Unreacted precursor can be managed with a heated filter on the chamber exhaust port. All heater set points are PID controlled. Automatic PC control of recipes, temperatures, flows, pumpdown/vent cycles, and delivery line flusing. Options include automatic load-unload (without changing system footprint), planar ICP source with remote plasma for Plasma Enhanced ALD (Planar ICP geometry maintains a small reaction chamber volume, speeding up cycle times), turbomolecular pump for faster cycles and a lower base pressure. 

    ALD System Feature and Option

FEATURES

​

• Less than 1Å uniformity  
• 13” aluminum compact chamber for fast cycle time and throughput 
• Up to 8” substrate 
• Heated chamber walls 
• 400°C substrate heater 
• 10-7 torr base pressure 
• Onboard precursor glovebox 
• Up to seven 50cc precursor cylinders 
• 300 l/sec maglev turbomolecular pumping package 
• Fast pulse heated gas delivery valves 
• Large area filter to capture unreacted precursors 
• Heated stop valve to increase precursor residence time for high aspect ratio structure coating 
• 26” x 44” footprint with enclosed panels ideal for clean rooms 
• PC based fully automatic recipe driven control system 
• State of the art user interface designed to easily program pulse loops with loop-within-a-loop capability

• EMO protection and safety interlocks 


OPTIONS 


• Downstream planar inductively coupled remote plasma source for PE-ALD process 
• Auto load/unload 
• Additional precursors 
• Bubblers 


APPLICATIONS 


• High-k dielectrics 
• Hydrophobic coating 
• Pinhole-free passivation layers 
• High aspect ratio diffusion barriers for Cu interconnects 
• Highly conformal coatings for micro fluidics applications 
• Fuel cells, e.g. single metal coating for catalyst layers

    ALD System SPEC and Utilities

PE-ALD_spec.jpg
bottom of page